Ana Sayfa FPGA FPGA Nedir?

FPGA Nedir?

6 min read
7
3
7,865

Çizgi Market sponsorluğunda tarafımıza gönderilen FPGA Boardu ile çalışmalarımıza başlıyoruz.Sizlere FPGA konusunda ufak bir girizgah amacıyla bilgi vermek istedim.

FPGA’ler “Sahada Programlanabilir Kapı Dizileri” anlamına gelen “Field Programmable Gate Array” ifadelerinin kısaltılmasıdır.

FPGA’yi daha iyi anlayabilmek için içinde bulunan transistörlerin birbirinden serbest halde bulunduğunu ve tasarımcının isteğine göre bu transistörlerin birbiriyle bağlantı kurduğunu söyleyebiliriz. Yani FPGA üzerinde bulunan transistör miktarına göre tasarımcı herhangi bir entegrenin veya entegrelerin yapabildiğini bütün işlemleri tek bir FPGA üzerinde tasarlayıp gerçekleyebilir.

FPGA’ler sayısal tasarım konusunda tasarımcıya kolayca sayısal devre tasarlamasına ve yapılan tasarımların prototip bir cihaz üzerinde fiziksel bir ortamda gerçekleştirilip test edilip doğrulanmasına olanak sağlayan entegre devrelerdir.

FPGA’ler üretim aşamasından sonra tasarımcının istediği fonksiyona göre donanım yapısını değiştirmesine olanak sağlar. Bu özelliği FPGA’leri günümüzde yaygın bir şekilde kullanılan mikroişlemcilerden ayıran en temel özelliklerinden biri yapmaktadır.FPGA’ler paralel işlem yapabilme kapasitesine sahip entegrelerdir. VHDL tasarımında tanımlanan bir entitye bağlı birden fazla process yazılabilir, bu procesler birbiriyle eş zamanlı çalışabilirler. Örneğin bir mikroişlemcide yazılan bütün programlar sıralı olarak çalışır yani bir main içinde işlem yapılır, farklı işlemler yapmak için kesmeler, timer’lar kullanılarak main içinden çıkılılıp  işlemler yapılabilir ama bu küçükte olsa programda bir yavaşlama demektir.Fakat FPGA tasarımında böyle bir sıkıntı söz konusu değildir.

Örnek vermek istersek bir mikrodenetleyicide görüntü işleme yapılırken görüntü alınıp işlenir sonra ikinci görüntü parçası alır,yani ilk görüntü alınıp işlenip çıkışa verilene kadar ikinci görüntü alınmaz bu işlemler yeterince hızlı yapılmazsa ikinci görüntüyü kaybetme ihtimali vardır ama FPGA de işlem çok daha hızlıdır ilk görüntü alınıp işlendiği sırada ikinci görüntü alınabilir ilk görüntü çıkışa verildiğinde ikinci görüntü işlenme aşamasına alınabilir ve bu sırada üçüncü görüntü FPGAe yüklenebilir. Bu özelliği çok yüksek hızlarda karmaşık işlemlerin yapılması konusunda FPGAi vazgeçilmez yapmaktadır.

FPGA’ler günümüzde tüketici elektroniğinden özellikle uzay ve savunma sanayisinden,otomotiv sanayisi  alanlarına kadar çok farklı konularda kullanılmaktadır.

fpga-nedir

Boardumuzda,Altera’nın  Cyclone 4E alilesinden EP4CE115F29C7N FPGA entegresi bulunmakta ve çevre birimler olarak 2×16 LCD ,VGA,RS232,ETHERNET girişleri,TV decoderi, SD kart yuvası gibi farklı uygulamalara yönelik elemanlar bulunmaktadır.

Farklı uygulamalarda hep beraber öğrenip, gelişmek ve geliştirmek dileğiyle hoşçakalın.

 

Buna Benzer Yazılar Göster !
  • FPGA İle PWM RC Servo Motor

    19Merhabalar; Bu projemizde FPGA üzerinden servo motor sürmek için PWM sinyali oluşturacağ…
  • FPGA ile RS232 Haberleşmesi(Receiver)

    Merhaba arkadaşlar, Çizgi market sponsorluğunda tarafımıza gönderilen FPGA ile çalışmaları…
  • FPGA ile 7-Segment Sayıcı

    Merhaba arkadaşlar, Çizgi market sponsorluğunda tarafımıza gönderilen FPGA ile çalışmaları…
Daha Fazlasını Yükle - Ahmet Memeşil
Daha Fazla Göster -  FPGA

7 Yorumlar

  1. Berat

    17 Eylül 2015 at 15:02

    FPGA üzerine içeriği zengim paylaşımınız için teşekkürler. Yapılacak projeleri dörtgözle bekliyoruz.

    Reply

  2. Kullanıcı

    10 Kasım 2015 at 11:47

    FPGA ile asenkron bir devre nasıl tasarlanır?

    Reply

  3. turgut

    9 Aralık 2015 at 15:52

    step motoru kullanın ahmet bey

    Reply

  4. EMRE

    22 Ocak 2016 at 17:21

    Merhaba bende fpga ya baslamak istiyorum ama gelistirme kartlari fiyatlari biraz fazla geldi sizin bidiginiz uygun fiyatli bir kartmevcutmu

    Reply

    • Ahmet Memeşil

      Ahmet Memeşil

      22 Ocak 2016 at 22:58

      Evet malesef fiyatları çok pahalı, Altera ve Xilinx in dışında 70-80 dolara kitler görmüştüm ama içerikleri hakkında pek bir bilgim yok.

      Reply

  5. Oğuzhan

    2 Şubat 2016 at 15:27

    Merhaba ben de aynı kit üzerinde yinelemesiz FIR sayısal süzgeçler üzerinde çalışma yapıyorum bilgi alışverişinde bulunabiliriz.

    Reply

    • Ahmet Memeşil

      Ahmet Memeşil

      2 Şubat 2016 at 16:40

      Tabiki Oğuzhan bey çok sevinirim

      Reply

turgut için bir cevap yazın Cevabı iptal et

E-posta hesabınız yayımlanmayacak. Gerekli alanlar * ile işaretlenmişlerdir

Bak Bakalım ?

FPGA İle PWM RC Servo Motor

19Merhabalar; Bu projemizde FPGA üzerinden servo motor sürmek için PWM sinyali oluşturacağ…